반응형
고속곱셈기
-
vhdl 4 bit booth algorithm, VHDL 고속 곱셈기전자공학/Digital circuit 2013. 11. 3. 22:52
8-bit booth algorithm VHDL library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity booth is port ( reset : in std_logic; clk : in std_logic; load : in std_logic; mlpcnd : in std_logic_vector (3 downto 0); mlplr : in std_logic_vector (3 downto 0); product : out std_logic_vector (7 downto 0) );end entity booth; architecture rtl of booth issignal q1 : std_logic;signal ac : ..